News Archive

Silicon Semiconductor: Phil Alsop, editor, interviews Jan Willis, Co-founder of the eBeam Initiative  [March 22, 2024]
>> View

Semiconductor Digest: eBeam Initiative Marks 15-Year Anniversary [February 28, 2024]
>> View

SEMI: D2S CEO Aki Fujimura: Curvy Design’s Time Has Come [February 5, 2024]
>> View

SPIE.online: Upcoming webinar on EUVL masks by Patrick Nalleau, EUV Tech, and a tribute to Paul Ackmann [January 23, 2024]
>> View

Semiconductor Engineering: Top Tech Videos of 2023 [December 29, 2023]
>> View

Semiconductor Engineering: Industry Luminaries Highlight Opportunities For Advancing The Non-EUV Leading Edge [December 19, 2023]
>> View

Semiconductor Engineering: Center For Deep Learning In Electronics Manufacturing: Bringing Deep Learning To Production For Photomask Manufacturing [November 16, 2023]
>> View

Semiconductor Engineering: Why Curvy Design Now? Less Change Than You Think And Manufacturable Today [October 19, 2023]
>> View

Semiconductor Engineering: Big Changes Ahead For Photomask Technology [October 19, 2023]
>> View

Semiconductor Digest: eBeam Initiative Survey Reports Semiconductor Industry Luminaries Are Confident in High-NA EUV and Curvilinear Photomasks [October 4, 2023]
>> View

Semiconductor Engineering: High-NA EUV Progress and Problems: Video interview with Harry Levinson, HJL Lithography [August 22, 2023]
>> View

Semiconductor Engineering: High-NA Lithography Starting To Take Shape [July 25, 2023]
>> View

Semiconductor Engineering: Multi-Beam Writers are Driving EUV Mask Development – Highlights from EMLC 2023 [July 25, 2023]
>> View

Silicon Semiconductor: eBeam Initiative: A voice for the photomask industry during rapid evolution [June 23, 2023]
>> View

AnandTech: Intel Sells a 20% Stake in Maker of Multi E-Beam Mask Writing Tools [June 22, 2023]
>> View

Semiconductor Engineering: 193i Lithography Takes Center Stage…Again [June 15, 2023]
>> View

Semiconductor Engineering: Reflections on PMJ 2023: Embracing the Era of Curvilinear Masks by Seiji Nagahara of TEL [May 18, 2023]
>> View

Semiconductor Engineering: How Curvilinear Mask Writing Affects Chip Design - Video [April 12, 2023]
>> View

Semiconductor Engineering: Evolution of the EUV Ecosystem Reflected at 2023 Advanced Lithography Patterning [March 16, 2023]
>> View

Semiconductor Digest: EUV Tech and IBM Join the eBeam Initiative [March 1, 2023]
>> View

Semiconductor Engineering: Mapping the Future of Lithography [February 16, 2023]
>> View

BACUS News: Editorial – Thomas Scherübl, Carl Zeiss SMT GmbH, on best mask size for High-NA EUV; Featured Article – 2022 BACUS Best Paper by D2S [January 7, 2023]
>> View

SPIE: BACUS December webinar now online (login required) – “Carbon Nanotube Pellicles for EUV Lithography: Form, Function, and Progress” presented by Emily Gallagher of imec [January 7, 2023]
>> View

SPIE: eBeam Initiative members Recognized as SPIE Fellows [January 5, 2023]
>> View

Semiconductor Engineering: Multi-beam Mask Writers are a Game Changer [December 22, 2022]
>> View

Semiconductor Engineering: High-NA EUV Complicates EUV Photomask Future [November 17, 2022]
>> View

Semiconductor Engineering: Video: Why changes in computing are driving changes in photomasks
>> View

Semiconductor Engineering: 2022 Survey: Luminaries report positive EUV impact on mask trends
>> View

Semiconductor Digest: eBeam Initiative Survey Reports EUV Fueling Photomask Industry Growth [September 27, 2022]
>> View

Semiconductor Engineering: How Overlay Keeps Pace with EUV Patterning [August 9, 2022]
>> View

SPIE: eBeam Initiative members among 77 New Senior Members Named by SPIE [August 2, 2022]
>> View

Design With Calibre: Curves ahead for IC manufacturing [June 20, 2022]
>> View

Semiconductor Engineering: For the Love of Theatre and Mask Making – Interview with Naoya Hayashi, DNP Research Fellow [July 5, 2022]
>> View

Semiconductor Engineering: High-NA EUV May Be Closer Than It Appears [June 17, 2022]
>> View

Semiconductor Engineering: The Changing Mask Landscape - an interview with Micron’s Mike Hermes [May 19, 2022]
>> View

Semiconductor Engineering: Photomask Shortages Grow At Mature Nodes [April 21, 2022]
>> View

Semiconductor Engineering: Curvilinear Photomasks Can Be Made Today [April 21, 2022]
>> View

Electronic Times Korea: ESOL Joins the eBeam Initiative [March 9, 2022]
>> View

Semiconductor Engineering: Unsolved Issues in Next Gen Photomasks [February 17, 2022]
>> View

Semiconductor Engineering: The Right Project is Key for Photomask Adoption of Deep Learning [February 17, 2022]
>> View

Semiconductor Engineering: Photomask Challenges at 3nm and Beyond [January 25, 2022]
>> View

Semiconductor Engineering: Luminaries See Growth Opportunities for Photomask Writers [January 20, 2022]
>> View

Semiconductor Engineering: Perspectives on Why EUV Photomasks are More Expensive [December 20, 2021]
>> View

Semiconductor Engineering: Business, Technology Challenges Increase For Photomasks [December 16, 2021]
>> View

Semiconductor Engineering: Semiconductor Photomask revenues predicted to increase in 2021 [November 18, 2021]
>> View

SPIE Journal of Micro/Nanopatterning, Materials, Metrology: Breakthrough curvilinear ILT enabled by multi-beam mask writing [November 17, 2021]
>> View

SPIE BACUS Newsletter: Paving a Curvy Road Ahead – editorial by Aki Fujimura, D2S [November 1, 2021]
>> View

Semiconductor Engineering: Curvilinear Design Benefits for Wafers [October 21, 2021]
>> View

Semiconductor Digest: Applied Materials Unveils eBeam Metrology System that Enables a New Playbook for Patterning Advanced Logic and Memory Chips [October 19, 2021]
>> View

Semiconductor Digest: eBeam Initiative Survey Reports Continued Optimism for Photomask Market Growth [September 28, 2021]
>> View

SPIE Journal of Micro/Nanopatterning, Materials, Metrology: Inverse lithography technology: 30 years from concept to practical, full-chip reality by Leo Pang, D2S [August 31, 2021]
>> View

Semiconductor Engineering: Optimizing VSB Shot Count for Curvilinear Masks [August 19, 2021]
>> View

Semiconductor Engineering: What About Mask Rule Checking for Curvilinear Photomasks? [July 22, 2021]
>> View

Semiconductor Engineering: Finding, Predicting EUV Stochastic Defects [June 17, 2021]
>> View

Semiconductor Engineering: Developing a New Curvilinear Data Format [June 17, 2021]
>> View

Semiconductor Engineering: How will the Adoption of Curvilinear Masks Affect Turnaround Time? [June 1, 2021]
>> View

Semiconductor Engineering: Is the Photomask Ecosystem Ready for Curvilinear ILT? [May 18, 2021]
>> View

Semiconductor Engineering: What’s Next in Fab Tool Technologies? [May 12, 2021]
>> View

Semiconductor Engineering: How Extensively will Curvilinear ILT be used for EUV Photomasks? [April 29, 2021]
>> View

Semiconductor Engineering: The Quest for Curvilinear Photomasks [April 15, 2021]
>> View

Semiconductor Engineering: How do Multi-Beam Mask Writers Enable Curvilinear Shapes on Photomasks? [April 15]
>> View

Semiconductor Engineering: Applications, Challenges for Using AI in Fabs [April 14, 2021]
>> View

Semiconductor Engineering: AI in Inspection, Metrology and Test [April 6, 2021]
>> View

Semiconductor Engineering: Bending the Rules with Curvilinear Technology [April 5, 2021]
>> View

Semiconductor Engineering: EUV Pellicles Finally Ready [March 22, 2021]
>> View

Semiconductor Engineering: The Future of Transistors and IC Architectures [March 18, 2021]
>> View

Semiconductor Engineering: Deep Learning Applications in Photomask to Wafer Semiconductor Manufacturing [March 17, 2021]
>> View

Semiconductor Engineering: The Benefits of Curvilinear Shapes on Photomasks [March 15, 2021]
>> View

Semiconductor Engineering: Breaking The 2nm Barrier [February 18, 2021]
>> View

Semiconductor Engineering: AI and High-NA EUV at 3/2/1nm [December 16, 2020]
>> View

VLSI Research: Dan Hutcheson’s video interview with Aki Fujimura about the 2020 eBeam Initiative surveys [Dec 15, 2020]
>> View

Semiconductor Engineering: Challenges Linger for EUV [November 11, 2020]
>> View

Semiconductor Engineering: Mask/Lithography Issues for Mature Nodes [October 22, 2020]
>> View

Mynavi News: How will the semiconductor mask industry change with the practical application of EUV? By Takeshi Hattori [Oct 13, 2020]
>> View

Semiconductor Digest: Multibeam Unveils Major Initiative to Develop Full-Wafer All-Maskless Patterning at 45nm and Larger Nodes on Its MEBL Production System [September 10, 2020]
>> View

Semiconductor Engineering: Finding Defects with eBeam Inspection [August 20, 2020]
>> View

Semiconductor Digest: ASML Wins SEMI Americas Award for EUV Technology [July 20, 2020]
>> View

Semiconductor Engineering: China Speeds Up Advanced Chip Development [June 22, 2020]
>> View

anandtech.com: ASML’s First Multi-Beam Inspection Tool for 5nm [June 1, 2020]
>> View

Semiconductor Engineering: What’s Next With AI In Fabs? [April 22, 2020]
>> View

Semiconductor Engineering: How And Where ML Is Being Used In IC Manufacturing? [April 1, 2020]
>> View

Semiconductor Engineering: What Machine Learning Can Do in Fabs? [March 25, 2020]
>> View

Semiconductor Digest: Micron joins the eBeam Initiative [February 25, 2020]
>> View

Semiconductor Engineering: Multi-Patterning EUV Vs. High-NA EUV [December 4, 2019]
>> View

Semiconductor Engineering: Making and Protecting Advanced Masks [November 27. 2019]
>> View

Semiconductor Engineering: Inspecting, patterning EUV masks [November 6, 2019]
>> View

VLSI Research: Dan Hutcheson’s video interview with Aki Fujimura about the annual eBeam Initiative surveys [Nov 1, 2019]
>> View

Semiconductor Engineering: Mask Making Issues with EUV [October 17, 2019]
>> View

Semiconductor Engineering: Why Inverse Lithography Technology has Finally Come of Age [October 17, 2019]
>> View

Multibeamcorp.com: Multibeam Unveils Anti-Counterfeit IC Initiative [October 8, 2019]
>> View

IEEE Spectrum: Custom Computer Makes ILT Practical for First Time, Samuel Moore [September 25, 2019]
>> View

Semiconductor Engineering: Mixed Picture Seen for EUV Masks [September 16, 2019]
>> View

Semiconductor Engineering: EUV, Deep Learning Issues In Mask Making [July 1, 2019]
>> View

Semiconductor Engineering: EUV Mask Gaps and Issues [May 8, 2019]
>> View

Semiconductor Engineering: Single vs Multi-Patterning EUV [March 25, 2019]
>> View

Semiconductor Engineering: EUV mask readiness challenges [March 21, 2019]
>> View

Semiconductor Engineering: ASML Joins the eBeam Initiative, IMS presents SPIE-AL paper on the next generation MBMW-201 [March 1, 2019]
>> View

Solid State Technology: ASML Joins the eBeam Initiative [February 26, 2019]
>> View

tsmc.com: TSMC Recognizes Outstanding Suppliers at Supply Chain Management Forum [December 6, 2018]
>> View

Semiconductor Engineering: Multi-Beam Mask Writing Finally Comes Of Age [November 15, 2018]
>> View

Semiconductor Engineering: EUV Mask Blank Battle Brewing [November 15, 2018]
>> View

Semiconductor Engineering: Wanted - Mask Equipment For Mature Nodes [October 18, 2018]
>> View

VLSI Research: Dan Hutcheson’s video interview with Aki Fujimura about the annual eBeam Initiative surveys [October 16, 2018]
>> View

EE Times: Photomask Optimism Burns Bright [October 3, 2018]
>> View

Semiconductor Engineering: EUV Pellicle, Uptime and Resist Issues Continue [September 26, 2018]
>> View

Semiconductor Engineering: Survey - EUV Optimism Grows [September 17, 2018]
>> View

Semiconductor Engineering: Mixed Outlook For Semi Biz [July 25, 2018]
>> View

Semiconductor Engineering: Fabs Meet Machine Learning [July 19, 2018]
>> View

Semiconductor Engineering: Big Trouble At 3nm [June 21, 2018]
>> View

Semiconductor Engineering: What’s Missing in EUV? [May 17, 2018]
>> View

Semiconductor Engineering: Experts at the Table, part 3: More Lithography/Mask Challenges with Applied Materials, DNP, D2S, GlobalFoundries, imec [May 16, 2018]
>> View

Semiconductor Engineering: Searching for EUV Defects by Mark LaPedus [April 19, 2018]
>> View

Semiconductor Engineering: Experts at the Table, part 2: More Lithography Mask Challenges – Roundtable [April 17, 2018]
>> View

Semiconductor Engineering: What Happened to Nanoimprint Litho? By Mark LaPedus [March 29, 2018]
>> View

Semiconductor Engineering: EUV’s New Problem Areas by Mark LaPedus [March 19, 2018]
>> View

Semiconductor Engineering: Experts at the Table, Part 1 – More Lithography/Mask Challenges by Mark LaPedus [March 15, 2018]
>> View

Semiconductor Engineering: Transistor Options Beyond 3nm by Mark LaPedus [February 15, 2018]
>> View

Semiconductor Engineering: E-beam Inspection Makes Inroads by Mark LaPedus [January 18, 2018]
>> View

IEEE Spectrum: EUV Lithography Finally Ready for Chip Manufacturing by Samuel K. Moore [January 5, 2018]
>> View

Semiconductor Engineering: Reflections on 2017 Manufacturing and Markets [December 28, 2017]
>> View

Semiconductor Engineering: Will Fab Tool Boom Cycle Last [December 18, 2017]
>> View

Solid State Technology: EUV Leads the Next Generation Litho Race [October 20, 2017]
>> View

Semiconductor Engineering: Next-Gen Mask Writer Race Begins [October 19, 2017]
>> View

Semiconductor Engineering: Searching for EUV Mask Defects [October 19, 2017]
>> View

Semiconductor Engineering: Challenges Mount for Photomasks by Mark LaPedus [September 21, 2017]
>> View

EE Times: Chip Execs More Bullish on EUV by Rick Merritt [September 11, 2017] (and in Chinese)
>> View

Semiconductor Engineering: Survey: Optimism Grows for EUV by Mark LaPedus [September 11, 2017]
>> View

Solid State Engineering: eBeam Initiative Surveys Report Increased Optimism for EUV and New Photomask Trends [September 11, 2017]
>> View

Semiconductor Engineering: The Secret Life of Accelerators by Ed Sperling [July 27, 2017]
>> View

Semiconductor Engineering: What’s After FinFETs? By Mark LaPedus [July 24, 2017]
>> View

Semiconductor Engineering: EUV gains steam; Industry 4.0; mask trends; SEMI award [July 21, 2017]
>> View

Multibeamcorp.com: Multibeam Secures $35M Defense Contract to Build E-Beam System [May 25, 2017]
>> View

Semiconductor Engineering: The Race to 10/7nm by Mark LaPedus [May 22, 2017]
>> View

Semiconductor Engineering: Experts at the Table Part 3 – Inside Lithography and Masks by Mark LaPedus [May 1, 2017]
>> View

Solid State Technology: D2S unveils fifth-generation GPU acceleration platform for semiconductor manufacturing [April 6, 2017]
>> View

Semiconductor Engineering: Experts at the Table Part 2 – Where EUV fits, what problems still remain, and what are the alternatives by Mark LaPedus [April 5, 2017]
>> View

Semiconductor Engineering: Experts at the Table Part 1 - EUV’s viability still in doubt even as rollout begins by Mark LaPedus [March 16, 2017]
>> View

Semiconductor Engineering: China - Fab Boom or Bust? [March 16, 2017]
>> View

Silicon Semiconductor: SMIC Joins eBeam Initiative [March 1, 2017]
>> View

Semiconductor Engineering: Changes Ahead in Patterning – Ed Sperling interviews Aki Fujimura [February 22, 2017]
>> View

Businesswire: IMS and JEOL Partner to Provide World’s First Production Multi-Beam Mask Writer [February 16, 2017]
>> View

Semiconductor Engineering: Inside Photomask Writing [January 19, 2017]
>> View

Semiconductor Engineering: Multi-Patterning Issues at 7nm, 5nm [November 28, 2016]
>> View

Semiconductor Engineering: Why EUV is So Difficult [November 17, 2016]
>> View

Semiconductor Engineering: More EUV Mask Gaps [November 17, 2016]
>> View

Semiconductor Engineering: What Happened to Inverse Lithography? [October 20, 2016]
>> View

Semiconductor Engineering: Will GPU-Acceleration Mean the End of Empirical Mask Models? Ryan Pearman, D2S [October 20, 2016]
>> View

SemiMD: D2S Releases 4th-Gen IC Computational Design Platform [September 30, 2016]
>> View

Printed Electronics Now: Applied Materials Brings E-beam Review Rechnology to the Display Industry [September 23, 2016]
>> View

Semiconductor Engineering: Speeding Up Mask Production [September 13, 2016]
>> View

SemiMD: Applied Materials Intros High Res eBeam Inspection System [July 2016]
>> View

Semiconductor Engineering: Combo inspection tool [July 2016]
>> View

Semiconductor Engineering: Securing Chips During Manufacturing – Can Directed Electron Writing Change the Security Equation? Dr. David Lam [July 7, 2016]
>> View

Semiconductor Engineering: Executive Insight – Aki Fujimura [June 23, 2016]
>> View

GSA Forum: Reducing IC Manufacturing Cost While Enhancing IoT Security by Dr. David Lam [June 2016]
>> View

Semiconductor Engineering: What’s the Outlook for ICs? By Mark LaPedus [May 19, 2016]
>> View

Semiconductor Engineering: 7nm Fab Challenges by Mark LaPedus [April 21, 2016]
>> View

Semiconductor Engineering: Where is Next-Gen Lithography? – Part 3 Panel discussion [April 11, 2016]
>> View

Semiconductor Engineering: Where is Next-Gen Lithography? – Part 2 Panel discussion [March 31, 2016]
>> View

Semiconductor Engineering: GPU Based Computing in Photomask Manufacturing by Aki Fujimura, D2S [March 28, 2016]
>> View

Semiconductor Engineering: Tech Talk – GPU Accelerated Photomasks by Noriaki Nakayamada, NuFlare Technology [March 22, 2016]
>> View

Semiconductor Engineering: Multi-Beam Market Heats Up by Mark LaPedus [March 17, 2016]
>> View

Semiconductor Engineering: Where is Next-Gen Lithography? – Part 1 Panel discussion with Applied Materials, DNP, D2S, GLOBALFOUNDRIES, imec [March 17, 2016]
>> View

Semiconductor Engineering: Ready for Nanoimprint? by Michael Watts [March 17, 2016]
>> View

Semiconductor Engineering: Intel buys multi-beam firm by Mark LaPedus [March 11, 2016]
>> View

Semiconductor Engineering: 7nm Lithography Choices by Mark LaPedus [March 7, 2016]
>> View

SemiMD: Canon, Toshiba Join eBeam Initiative Group by Jeff Dorsch [February 24, 2016]
>> View

Semiconductor Engineering: 1xnm DRAM Challenges by Mark LaPedus [February 18, 2016]
>> View

Semiconductor Engineering: Predictions for 2016: Semiconductors, Manufacturing and Design by Mark LaPedus [January 21, 2016]
>> View

Semiconductor Engineering: 5nm Fab Challenges by Mark LaPedus [January 21, 2016]
>> View

Semiconductor Engineering: Inside Multi-beam e-beam Lithography – One-on-One with David Lam by Mark LaPedus [November 19, 2015]
>> View

Electronics360: Lithography and Photomask Technologies Stand at a Crossroads by Jeff Dorsch [October 27, 2015]
>> View

Semiconductor Engineering: Taming Mask Metrology by Mark LaPedus [October 22, 2015]
>> View

SPIE: New book available - Introduction to Metrology Applications in IC Manufacturing by Bo Su - D2S, Eric Solecky, Alok Vaid [October 15, 2015]
>> View

Semiconductor Engineering: Gaps Remain for EUV Masks by Mark LaPedus [October 2, 2015]
>> View

Solid State Technology: Why Lithography Alternatives Are Essential by CEA-Leti [September 2015]
>> View

Semiconductor Engineering: Mask Metrology Challenges Grow by Mark LaPedus [September 29, 2015]
>> View

GSA Forum: eBeam Community Speaks Out on Future Directions for Photomask Manufacturing by Jan Willis [September 29, 2015]
>> View

Semiconductor Engineering: Mask Supply Chain Preps for 10nm by Dylan McGrath [September 17, 2015]
>> View

Semiconductor Engineering: Tech Talk – Wafer Plane Analysis by Leo Pang, D2S [July 23, 2015]
>> View

Semiconductor Engineering: Fab Issues at 7nm and 5nm by Mark LaPedus [July 23, 2015]
>> View

Semiconductor Engineering: Speeding Up E-Beam Inspection by Mark LaPedus [June 18, 2015]
>> View

Semiconductor Engineering: Is EUV Making Progress? by Ann Steffora Mutschler & Ed Sperling [June 18, 2015]
>> View

Semiconductor Engineering: Next EUV Challenge: Mask Inspection by Mark LaPedus [April 16, 2015]
>> View

Semiconductor Engineering: Experts at the table, part 3: Challenges Mount for Patterning and Masks [April 6, 2015]
>> View

Thomas D. Jay Blog: Perspective on SPIE Advanced Lithography 2015 [April 3, 2015]
>> View

Semiconductor Engineering: Experts at the table, part 2: Challenges Mount for Patterning and Masks by Mark LaPedus [March 30, 2015]
>> View

Semiconductor Engineering: Experts at the table, part 1: Challenges Mount For Patterning And Masks by Mark LaPedus [March 19, 2015]
>> View

Semiconductor Engineering: 5 Issues Under the SPIE Radar by Mark LaPedus [March 19, 2015]
>> View

Semiconductor Engineering: 5 Reasons EUV Will Or Won’t Be Used by Michael Watts [March 19, 2015]
>> View

Semiconductor Engineering: Issues and Options at 5nm by Mark LaPedus [March 19, 2015]
>> View

Semiconductor Engineering: 3D Effects At 20nm And Beyond by Ann Steffora Mutschler [March 19, 2015]
>> View

Semiconductor Manufacturing & Design (SemiMD): SPIE Advanced Lithography conference concludes by Jeff Dorsch [February 27, 2015]
>> View

Semiconductor Engineering: 5 Disruptive Mask Technologies [February 19, 2015]
>> View

Semiconductor Engineering: How to Extend Litho Scaling [February 19, 2015]
>> View

Semiconductor Engineering: Maglen: Multi-beam e-Beam inspection [February 16, 2015]
>> View

EE Times: 1.5 nm Metrology Extends Moore's Law - Advanced node requires nanoscale rulers [February 6, 2015]
>> View

Semiconductor Engineering: Tech Talk – Photomask Challenges by Mark LaPedus and Bob Pack [January 22, 2015]
>> View

Solid State Technology: 2015 – Curvilinear Shapes Are Coming by Aki Fujimura [January 20, 2015]
>> View

Semiconductor Engineering: Fab Tool Biz Faces Challenges in 2015 [December 11, 2014]
>> View

Semiconductor Engineering: Executive Insight - Elmar Platzgummer [November 13, 2014]
>> View

Semiconductor Engineering: We have Reached the Tipping Point for Simulation-Based Mask Data Preparation by Aki Fujimura [November 13, 2014]
>> View

Semiconductor Engineering: Transistor Options Narrow for 7nm [November 13, 2014]
>> View

Semiconductor Engineering: Blog Review – Maglen’s Tony Luo looks at what comes after optical inspection in a video tutorial [October 22, 2014]
>> View

Semiconductor Engineering: Litho Options Sparse After 10nm [October 16, 2014]
>> View

Semiconductor Engineering: Challenges Mount for EUV Masks [October 16, 2014]
>> View

Semiconductor Engineering: Executive Insight - Aki Fujimura [October 16, 2014]
>> View

EE Times: Chip Makers Face Scaling Hurdles, Tetsuya Yamamoto, KLA-Tencor Corp. [October 7, 2014]
>> View

Semiconductor Engineering: The Week in Review: beaming survey [September 19, 2014]
>> View

Semiconductor Engineering: Wanted: Multi-beam E-beam Inspection [September 18, 2014]
>> View

Semiconductor Engineering: What happened to next-gen lithography? [September 3, 2014]
>> View

Semiconductor Manufacturing & Design: Lithography – What are the alternatives to EUV? by Pete Singer [August 28, 2014]
>> View

Semiconductor Engineering: One-on-one with Linyong Pang: A behind the scenes look at inverse lithography, M&A activity and next-generation lithography [May 12, 2014]
>> View

Semiconductor Engineering: Stopping Mask Hotspots Before They Escape The Mask Shop – by Aki Fujimura, D2S CEO [April 28, 2014]
>> View

Semiconductor Engineering: One-on-One with Naoya Hayashi — Dai Nippon Photomask’s research fellow talks about rising challenges for the photomask industry. What happens when it takes 50 hours to write a mask? [March 20, 2014]
>> View

Semiconductor Engineering: Directed Self-Assembly Gains Momentum [March 20, 2014]
>> View

Semiconductor Engineering: In a video interview, D2S CEO Aki Fujimura talks about the growing problems of shrinking features and what it means for lithography and photomasks [February 19, 2014]
>> View

Semiconductor Engineering: Laurent Pain, CEA-Leti’s lithography lab manager, talks about what’s possible in lithography, what’s likely, and when it’s going to happen. [December 12, 2013]
>> View

Semiconductor Engineering: Multi-Beam Begins to Shine [October 17, 2013]
>> View

Semiconductor Engineering: Executive Briefing with Dr. David Lam - Getting Direct on Litho [October 17, 2013]
>> View

Semiconductor Engineering: Debate Heats Up Over Bigger Glass [October 17, 2013]
>> View

Semiconductor Engineering: Why does EUV matter? By Brian Bailey [October 1, 2013]
>> View

Semiconductor Engineering: Mask Data Prep Issues Compounding at 20nm [September 19, 2013]
>> View

Semiconductor Engineering: Litho Roadmap Remains Cloudy by Mark LaPedus [September 19, 2013]
>> View

AZoNano.com: D2S Extends Partnership with NuFlare to Accelerate Deployment of eBeam Technologies [September 11, 2013]
>> View

ElectroIQ: Multibeam technology predicted for use in advanced photomask production by 2016, says survey [September 9, 2013]
>> View

Nanowerk.com: IMS Nanofabrication Announces Partnership with JEOL for the Realization of Alpha and Beta Electron Multi-Beam Mask Writer Tools [September 3, 2013]
>> View

EE Times: Lam Eyes Next $1B Opportunity [July 8, 2013]
>> View

SemiMD: Inside Leti’s Litho Lab [May 16, 2013]
>> View

Thomasdalejay.blogspot.com: The Strategic Positioning of Electron Beam Lithography – The Pellicle Brief [May 15, 2013]
>> View

SemiMD: Reaching for the Reset Button in Lithography [March 21, 2013]
>> View

Chip Design Magazine: EUV and eBeam at SPIE ADV Litho 2013 [February 28, 2013]
>> View

AZoNano.com: New Electron Beam Lithography System from Advantest for 1Xnm Technology Nodes [November 15, 2012]
>> View

SemiMD: [Part 1] Experts at the Table – Issues in Lithography by Mark LaPedus [November 2012]
>> View

SemiMD: [Part 2] Experts at the Table – Issues in Lithography by Mark LaPedus [November 2012]
>> View

SemiMD: [Part 3] Experts at the Table – Issues in Lithography by Mark LaPedus [November 2012]
>> View

EE Journal: Dogboning the Dogbones by Bryon Moyer [October 29, 2012]
>> View

SemiMD: Beam me up by Mark LaPedus [October 18, 2012]
>> View

weSRCH: Mask writing technology at 20nm and below ....Video interview with G. Dan Hutcheson and Aki Fujimura; login=requests@ebeam.org, password=ebeam2012 [October 18, 2012]
>> View

Chip Design: D2S launches eBeam Mask Data Prep System by Pallab Chatterjee [September 22, 2012]
>> View

SemiMD: A Mischievous Muse by Marc David Levenson [September 20, 2012]
>> View

TechOn!: (article is in Japanese) Moving Beyond 20 nm, D2S Develops a Mask Data Generation System---ILT Mask Write Times Cut by 30% by Ikutaro Kojima [September 12, 2012]
>> View

SemiMD: Will EUV Miss Another Node? [September 2012]
>> View

EE Times: D2S tips model-based mask data prep tool [September 11, 2012]
>> View

Solid State Technology: Understanding shape-dependent mask CD uniformity [September 2012]
>> View

Future Fab: [Issue 42] E-Beam Direct Write on 300 mm Wafers: Maskless Patterning for Various Applications [July 5, 2012]
>> View

Future Fab: [Issue 42] E-Beam Lithography Revisited [July 5, 2012]
>> View

TechOn!: [PMJ 2012] HOYAとGLOBALFOUNDRIES、オーバラップや円形ショットのマスク露光の効果を報告 –Ikutaro Kojima [April 25, 2012]
>> View

Solid State Technology: Electron-beam lithography breakthroughs at Photomask Japan [April 16, 2012]
>> View

SPIE Newsroom: Model-based mask data preparation enables complex masks [April 3, 2012]
>> View

SPIE Newsroom: Video - Competitors work together to advance eBeam technology for advanced lithography [March 16, 2012]
>> View

BACUS News: eMET POC: realization of a proof-of-concept 50 keV electron multibeam mask exposure tool - Elmar Platzgummer, Christof Klein, Hans Loeschner, IMS Nanofabrication [February 2012]
>> View

SemiMD: ML2 Lithography - One Tool to Write Them All - Marc D. Levenson [February 21, 2012]
>> View

EETimes: eBeam Initiative ready with updated roadmap [February 13, 2012]
>> View

ElectroIQ: Mask-wafer double simulation: A new lithography requirement at 22nm [December 30, 2011]
>> View

SemiMD: E-beam Costs to Soar in Multi-Patterning Era – Mark LaPedus [October 22, 2011]
>> View

SST WaferNews: SPIE BACUS: Better 20nm litho, and where’s the EUV funding? Having your cake and eating it, too [October 11, 2011]
>> View

SemiMD: BACUS Panel Considers Model-based Data Prep – Marc David Levenson [October 7, 2011]
>> View

ElectroIQ: SPIE BACUS: Delayed Photomask Japan 2011 in a nutshell – Franklin Kalk [September 21, 2011]
>> View

GSA Forum: The Lithography Gap Widens at the 20nm Logic Node: New eBeam Approaches Required to Complete the Design Chain [September 2011]
>> View

EETimes: eBeam Initiative expands membership [September 12, 2011]
>> View

ElectroIQ: Model-based mask data prep using overlapping shots for 20nm devices [August 16, 2011]
>> View

Future Fab International: The Need for Multiple Alternatives for sub-20nm Lithography, Moshe Preil - GlobalFoundries [July 7, 2011]
>> View

EE Times: MIT scientists claim 9-nm e-beam resolution [July 4, 2011]
>> View

ElectroIQ: Lithography cost-of-ownership considerations, David K. Lam – Multibeam Corporation [June 27, 2011]
>> View

Future Fab International: All Lithography Roads Ahead Lead to More E-Beam Innovation [April 27, 2011 Issue 37]
>> View

Solid State Technology: Model-based mask data prep: Solving the impossible mask [March 10, 2011]
>> View

Semiconductor Manufacturing & Design: EbDW may sneak in behind masks [March 5, 2011]
>> View

TechOn!: <SPIE> Acceleration Expected in EB Direct Writer Development, Nanoimprint Technology for Development of Next Generation Devices [March 4, 2011]
>> View

TechOn!: NuFlare Technology and D2S Partner to Reduce Write Times for LSI Mask Writer [March 2, 2011]
>> View

EE Times: D2S, NuFlare ink e-beam deal [March 1, 2011]
>> View

LithoVision 2011: Status of Masks – Current Status and Issues for ArF Extension, Nobuhito Toyama, DNP [February 27, 2011]
>> View

Solid State Technology: The future of lithography – Kurt Ronse (imec), Bryan Rice (Sematech), Nick Stacey (Molecular Imprints), Bruno La Fontaine (Cymer) and Aki Fujimura (D2S and eBeam Initiative) [ February 2011]
>> View

ebeam.org: Three Industry Leaders Track Lithography Trends for 2011 [January 24, 2011]
>> View

EE Times: Vistec to expand e-beam capabilities [October 19,2010]
>> View

EE Times: The horror of 80-hour photomask write times [September 23, 2010]
>> View

Solid State Technology WaferNews Podcast: More from BACUS: D2S’s Fujimura: Making the “impossible” photomask possible [September 21, 2010]
>> View

EE Times: E-Beam: Ecosystem investment grows, but more needed [September 20, 2010]
>> View

Chip Design Magazine Blog (Pallab’s Place): D2S and eBeam Initiative – available for std machines [September 19, 2010]
>> View

MySemiconDaily: E-Beam Direct-Write Lithography: Does It Have the Support It Deserves? [September 15, 2010]
>> View

Betablog: E-Beam Initiative speeds mask making [September 14, 2010]
>> View

Photomask Japan 2010: The Award Winners of Best Paper have been announced. [May 31, 2010]
>> View

SEMICON West 2010: E-Beam Lithography Seeks Investment Boost [May 27, 2010]
>> View

Nikkei BP: 22nm以降のLSI製造用マスクを円形ビームで描画する技術,JEOLとD2Sが実機での評価結果を発表, [April 16, 2010]
>> View

EuroAsia Semiconductor: Three new companies join eBeam Initiative [April 13, 2010]
>> View

Semiconductor International Newsletter: D2S and JEOL Partner to Reduce Write Times for Advanced Photomask Production [April 13, 2010]
>> View

Industry Insights, Cadence Design Systems: Has E-Beam Lithography Finally Found Its Niche? [April 8, 2010]
>> View

ElectroIQ: Podcasts – Aki Fujimura, President & CEO of D2S makes the case for DFEB [March 30, 2010]
>> View

EDN: The eBeam Initiative could slash mask costs at 22nm [February 23, 2010]
>> View

EE Times: GlobalFoundries, Samsung join e-beam group [February 23, 2010]
>> View

Nikkei BP: : 2010年2月26日 「【SPIE】 22nm以降のopcパターンは円形ビームで描画すべき、D2Sが提案」
>> View

DigiTime: More industry leaders push e-beam technology [February 24, 2010]
>> View

Solid State Technology: Making E-beam direct write faster [November 19, 2009]
>> View

Nikkei BP: 「EB直描のスループットを1ケタ向上」,D2Sらが新手法 を開発 [October 2, 2009]
>> View

EE Times: D2S, Advantest speed up e-beam lithography [October 2, 2009]
>> View

EE Times Europe: German startup joins e-beam initiative [Sept 16, 2009]
>> View

GSA Forum: Test Chip Collaboration Validates That Virtually Maskless SOCs Are Now Practical [September 2009]
>> View

EE Times Europe: Leti receives Mapper 300-mm e-beam lithography platform [July 21, 2009]
>> View

EDN: Fragmentation threatens the roadmap for advanced lithography [July 17, 2009]
>> View

Nikkei BP: 富士通マイクロらが65nmチップで効果を実証,EB直描向けLSI設計環境 [May 27, 2009]
>> View

Semiconductor Fabtech: Design for e-beam methodology validated for 65-nm SOC apps, say eBeam Initiative collaborators [May 27, 2009]
>> View

EE Times: 65-nm test chip said to validate design-for-e-beam [May 26, 2009]
>> View

EE Times: Design-for-ebeam firm closes $9 million in funding [Apr. 2, 2009]
>> View

Nikkei Microdevices: Interview - Lowering the threshold of developing advanced LSIs; approved by Nikkei Microdevices [April 2009]
>> View

BetaSights: eBeam Initiative and Tool Competition [Mar. 4, 2009]
>> View

EDN: Heard at SPIE: direct-write e-beam production inches closer [Feb. 26, 2009]
>> View

Semiconductor International: New Collaboration Aims to Accelerate Direct-Write E-Beam Adoption [Feb. 25, 2009]
>> View

EE Times: Initiative forms around e-beam direct write [Feb. 24, 2009]
>> View

Semiconductor International: E-Beam Technology Breaks Through Complex Design Cycles [Feb. 1, 2009]
>> View

Semiconductor International: Executive Outlook: Survival and Opportunities in 2009 [Jan. 1, 2009]
>> View

BetaSights: CEA/Leti, Vistec, and D2S push EbDW [Jan. 26, 2009]
>> View

EE Times: Firms to collaborate on e-beam direct write [Jan. 12, 2009]
>> View

EDN: D2S replicates direct-write e-beam scheme in Europe, moves to 45 and 32 nm [Jan. 12, 2009]
>> View

EDN: Announcement by D2S completes the picture for Fujitsu maskless e-Shuttle [Oct. 8, 2008]
>> View

GSA Forum: Enabling the Long Tail of SOCs [Sep. 2008]
>> View

SCD Source: Startup preps e-beam lithography for SoCs and ASICs [Oct. 17, 2007]
>> View

EE Times: Fujitsu, Advantest form e-beam venture [Sep. 15, 2006]
>> View

EB直描の実力を引き出す設計を可能に [Feb. 11, 2007]
>> View

EE Times: E-beam litho ready to rise again, says Vistec [Apr. 6, 2006]
>> View

EE Times: Startup Multibeam enters maskless lithography race [Jan. 7, 2005]
>> View

Semiconductor International: Mapper Demos Massively Parallel E-Beam Lithography [Sep. 13, 2007]
>> View

View our Privacy Policy

Copyright © 2024 D2S, Inc. All Rights Reserved. For exclusive use with the eBeam Initiative.