Features

Videos: Spring 2024 Fine Line Video Journal [March 26, 2024]
>> View

Presentation: We’ve Come A Long Way in 15 Years by Aki Fujimura, CEO of D2S and co-founder of the eBeam Initiative [February 27, 2024]
>> View

Presentation: Cell Projection to Extend Shaped-Beam-Litho for New Applications by Matthias Slodowski, Vistec Electron Beam [February 27, 2024]
>> View

Press: eBeam Initiative Marks 15 Year Anniversary – FUJIFILM Corporation Joins the eBeam Initiative [February 27, 2024]
>> English & Japanese

Survey: 2024 Deep Learning Applications List by eBeam Initiative members [February 27, 2024]
>> View

Videos: 2023 eBeam Initiative Top Videos and Articles
>> View

Event: eBeam Initiative SPIE-AL Annual Lunch and Members Meeting, Tuesday, February 27, 2024
>> RSVP

Videos: Autumn 2023 Fine Line Video Journal [October 24, 2023]
>> View

Presentation: Economics of Mask by Glen Scheid, Micron [October 3, 2023]
>> View

Survey: eBeam Initiative Luminaries Survey Results [October 3, 2023]
>> View

Press: eBeam Initiative Survey Reports Semiconductor Industry Luminaries are Confident in High-NA EUV and Curvilinear Photomasks [October 3, 2023]
>> View



>>View Features Archive

About Us

Mission | The Initiative provides a forum for educational and promotional activities regarding new design-to-manufacturing approaches that help reduce mask costs for semiconductor devices based on electron beam (eBeam) technologies.


Read the story of the eBeam Initiative


Design Team Advisors | Provide business and technical insights and requirements to the Steering Group and Ecosystem members.


eBeam Member Videos | Proof points, viewpoints and products discussed by eBeam Initiative members.



Aki Fujimura, co-founder of the eBeam Initiative, celebrates 15 years of eBeam innovation.


Matthias Slodowski, Vistec, on extending shaped-beam-lithography.


Tom Cecil, Synopsys, on AI-Driven EDA.


Jan Willis, Naoya Hayashi, Harry Levinson and David Lam on the eBeam Initiative past and future.


Follow Us On:

eBeam Industry News

Semiconductor Engineering: Navigating the GPU Revolution [April 24, 2024]
>> View

eeNews: Intel Fellow Discusses High-NA EUV Lithography Progress [April 19, 2024]
>> View

Semiconductor Engineering: eBeam Initiative Marks Major Milestones Over 15 Years of Photomasks and Lithography [April 18, 2024]
>> View

Silicon Semiconductor: Phil Alsop, editor, interviews Jan Willis, Co-founder of the eBeam Initiative  [March 22, 2024]
>> View

Semiconductor Digest: eBeam Initiative Marks 15-Year Anniversary [February 28, 2024]
>> View

SEMI: D2S CEO Aki Fujimura: Curvy Design’s Time Has Come [February 5, 2024]
>> View

SPIE.online: Upcoming webinar on EUVL masks by Patrick Nalleau, EUV Tech, and a tribute to Paul Ackmann [January 23, 2024]
>> View


>>View News Archive

View our Privacy Policy

Copyright © 2024 D2S, Inc. All Rights Reserved. For exclusive use with the eBeam Initiative.