Features Archive


Event: eBeam Initiative Reception during SPIE Photomask Technology + EUV Conference, Monterey, Calif.; 4:45-7:15pm Oct. 3, 2023
>> RSVP

Presentation: DAC 2023 - Why is Curvy Design an Opportunity Now? Panel Slides [July 11, 2023]
>> View

Video: Summer 2023 Fine Line Video Journal [June 13, 2023]
>> View

Video: Photomask Japan – eBeam Initiative Update [April 24, 2023]
>> View

Tributes: Dr. Hans Pfeiffer, Industry and eBeam Luminary
>> View

Video: Spring 2023 Fine Line Video Journal [March 28, 2023]
>> View

Presentation: eBeam Lithography Misperceptions and Opportunities by David K. Lam, Multibeam Corporation, eBeam Initiative lunch [February 28, 2023]
>> View

Presentation: eBeam Inspection and Metrology: Developments and Applications in Lithography by Yu Cao, ASML, eBeam Initiative lunch [February 28, 2023]
>> View

Press: EUV TECH and IBM Join the eBeam Initiative [February 28, 2023]
>> English, Japanese, Simplified Chinese & Traditional Chinese

Survey: 2023 Deep Learning Applications List by eBeam Initiative members [February 28, 2023]
>> View

Videos: Winter 2023 Fine Line Video Journal [January 10, 2023]
>> View

Videos: Autumn 2022 Fine Line Video Journal [November 1, 2022]
>> View

Presentation: O(p): GPUs, Pixels, DL, Curvy Masks & Designs by Aki Fujimura, D2S, eBeam Initiative Reception [September 27, 2022]
>> View

Presentation: Curvilinear Masks in Memory for DUV and EUV by Ezequiel Russell, Micron, eBeam Initiative Reception [September 27, 2022]
>> View

Presentation: Curvilinear MPC in Zero Time by Noriaki Nakayamada, NuFlare, eBeam Initiative Reception [September 27, 2022]
>> View

Survey: 2022 eBeam Initiative Luminaries Survey Results [September 27, 2022]
>> View

Press: eBeam Initiative Survey Reports EUV Fueling Photomask Industry Growth [September 27, 2022]
>> English, Japanese, Simplified Chinese & Traditional Chinese

Event: eBeam Initiative reception during SPIE Photomask Technology + EUV Conference, Monterey, Calif.; 4:00-7:30pm Sept. 27, 2022
>> RSVP

Videos: Summer 2022 Fine Line Video Journal [June 28, 2022]
>> View

Videos: Spring 2022 Fine Line Video Journal [April 19, 2022]
>> View

Event: Replay of video - eBeam Initiative 14th Annual (Virtual) Event with Aki Fujimura of D2S, Donis Flagello of Nikon, Chris Mack of Fractilia, Harry Levinson of HJL Lithography, and Mike Hermes of Micron [March 1, 2022]
>> View

Press: Scanning Electron Microscopy Takes the Spotlight in Annual Deep Learning Survey by the eBeam Initiative - ESOL, Fractilia and HJL Lithography Join the eBeam Initiative [March 1, 2022]
>> English, Japanese, Simplified Chinese & Traditional Chinese

Survey: 2022 Deep Learning Applications List by eBeam Initiative members [March 1, 2022]
>> View

Videos: Autumn 2021 Fine Line Video Journal [October 26, 2021]
>> View

Event: Replay of video - eBeam Initiative Virtual Event during the 2021 Photomask Technology Conference [Sept. 28, 2021]

View:
>> Entire event (1h 22m)
>> Luminaries survey results (14m)
>> Mask revenues (12m)
>> EUV mask (21m)
>> Mask writers (12m)
>> Deep Learning (DL) (10m)
>> Curvilinear masks (6m)

Survey: 2021 eBeam Initiative Luminaries Survey Results [September 28, 2021]
>> View

Press: eBeam Initiative Survey Reports Continued Optimism for Photomask Market Growth [September 28, 2021]
>> English, Japanese Simplified Chinese & Traditional Chinese

Event: eBeam Initiative Virtual Event during the 2021 Photomask Technology Conference [Sept. 28, 2021]
>> RSVP

Video: Summer 2021 Fine Line Video Journal [June 22, 2021]
>> View

Event: PMJ 2021 eBeam Initiative Survey presentation by Aki Fujimura [April 21, 2021]
>> View

Video: Spring 2021 Fine Line Video Journal [March 23, 2021]
>> View

Event: Replay of video - eBeam Initiative Virtual Panel at SPIE-AL, February 23, 2021
>> View

Survey: 2021 Deep Learning Applications List by eBeam Initiative members [February 22, 2021]
>> View

Video: Autumn 2020 Fine Line Video Journal [October 20, 2020]
>> View

Event: Replay of video - eBeam Initiative Virtual Event during the 2020 Photomask Technology Conference, Sept. 22, 2020
>> View

Survey: 2020 eBeam Initiative Mask Makers' Survey Results [September 22, 2020]
>> View

Survey: eBeam Initiative Luminaries (formerly Perceptions) Survey Results [September 22, 2020]
>> View

Press: eBeam Initiative Surveys Report Upbeat Photomask Market Outlook [September 22, 2020]
>> English, Japanese & Simplified Chinese

Video: Summer 2020 Fine Line Video Journal [June 2, 2020]
>> View

Video: Spring 2020 Fine Line Video Journal [March 29, 2020]
>> View

Press: Micron Joins the eBeam Initiative [February 25, 2020]
>> English, Japanese, Simplified Chinese, & Traditional Chinese

Presentation: ILT and Curvilinear Mask Designs for Advanced Memory Designs by Ezequiel Russell, Micron, at SPIE eBeam lunch [February 25, 2020]
>> View

Presentation: The Mycronic Path Back to Semiconductors and into Deep Learning, Mycronic, at SPIE eBeam lunch [February 25, 2020]
>> View

Event: eBeam Initiative SPIE-AL Annual Lunch and Members Meeting, co-sponsored in 2020 with CDLe, Tuesday, February 25, 2020
>> RSVP

Video: Autumn 2019 Fine Line Video Journal [October 14, 2019]
>> View

Survey: 2019 eBeam Initiative Mask Makers' Survey Results [September 17, 2019]
>> View

Survey: eBeam Initiative Perceptions Survey Results [September 17, 2019]
>> View

Presentation: Digital Twins for a Curvilinear World by Leo Pang, D2S, at the eBeam Initiative Reception [September 17, 2019]
>> View

Press: eBeam Initiative Surveys Report Photomasks Being Written with Multi-beam Mask Writers and Growing Impact of Deep Learning on Semiconductor Fab Operations [September 16, 2019]
>> English, Japanese, Simplified Chinese, & Traditional Chinese
Event: eBeam Initiative reception during the Photomask Technology Conference, Monterey, Calif.; Sept. 17, 2019
>> RSVP

Video: Summer 2019 Edition of the Fine Line Video Journal [June 5, 2019]
>> View

Video: Spring 2019 Edition of the Fine Line Video Journal [March 26, 2019]
>> View

Presentation: The need for speed: Computations for EUV Lithography by Harry Levinson, HJL Lithography, at SPIE eBeam lunch [February 26, 2019]
>> View

Presentation: How GPU-Accelerated Simulation Enables Applied Deep Learning for Photomasks by Leo Pang, D2S, at SPIE eBeam lunch [February 26, 2019]
>> View

Presentation: Applications of Machine Learning in Computational Lithography by Yu Cao, ASML, at SPIE eBeam lunch [February 26. 2019]
>> View

Press: eBeam Initiative Surveys Report Photomasks Being Written with Multi-beam Mask Writers and Growing Impact of Deep Learning on Semiconductor Fab Operations [September 16, 2019]
>> English, Japanese, Simplified Chinese, & Traditional Chinese

Press: ASML Joins the eBeam Initiative, Feb 26, 2019
>> English, Japanese, Simplified Chinese, & Traditional Chinese

Event: eBeam Initiative Annual Lunch and Members Meeting at SPIE 2019, San Jose Hilton, Tuesday, February 26, 2019
>> RSVP

Video: Autumn 2018 Edition of the Fine Line Video Journal, October 16, 2018
>> View

Survey: 2018 eBeam Initiative Mask Maker Survey Results [September 18, 2018]
>> View

Survey: 2018 eBeam Initiative Perceptions Survey Results [September 18, 2018]
>> View

Presentation: Renew, Retire, Replace by Franklin Kalk, Toppan Photomasks, at BACUS eBeam Reception [September 18, 2018]
>> View

Press: eBeam Initiative Surveys Report 27 Percent Growth in Photomasks Delivered and Continued Confidence in EUV Lithography; TEL Joins the eBeam Initiative, September 17, 2018
>> English, Japanese, Simplified Chinese, & Traditional Chinese

Event: eBeam Initiative reception during the Photomask Technology Conference, Monterey, Calif.; Sept. 18 with Drinks reception at 5:45pm and program at 6:30pm
>> RSVP

Event: EMLC 2018 - presentations by various eBeam Initiative members June 18-20, Grenoble, France
>> View

Event: The Litho Workshop - presentations by eBeam Initiative members June 17-21, Sun Valley, Idaho, USA
>> View

Video: Summer 2018 Edition of the Fine Line Video Journal, June 7, 2018
>> View

Event: Photomask Japan 2018 - eBeam Initiative survey results to be presented April 19, 2018
>> View

Video: Spring 2018 Edition of the Fine Line Video Journal, March 21, 2018
>> View

Presentation: Multi-beam mask writer MBM-1000 for advanced mask making presented by Hiroshi Matsumoto, NuFlare Technology, at SPIE eBeam lunch [February 27, 2018]
>> Download PDF

Presentation: Frontiers in CD-SEM metrology presented by Sergey Babin, aBeam Technologies, at SPIE eBeam lunch [February 27, 2018]
>> Download PDF

Presentation: Mask patterning challenges for EUV N7 and beyond presented by Mike Green, Photronics, at SPIE eBeam lunch [February 27, 2018]
>> Download PDF

Events: eBeam Initiative Annual Lunch and Members Meeting at SPIE 2018, San Jose Hilton, Tuesday, February 27, 2018
>> RSVP

Events: Leti Alternative Lithography Workshop with co-sponsors Screen and eBeam Initiative, San Jose Marriott, Thursday, March 1 from 5-9pm
>> Download PDF
>> RSVP

Videos: Autumn 2017 Edition of the Fine Line Video Journal, October 10, 2017
>> View

Video: Semiconductor Mask Making Trends… a conversation with Aki Fujimura of the eBeam Initiative by Dan Hutcheson [September 12, 2017]
>> View

Survey: 2017 eBeam Initiative Mask Maker Survey Results [September 11, 2017]
>> Download PDF

Survey: eBeam Initiative Perceptions Survey Results [September 11, 2017]
>> Download PDF

Presentation: What’s Different about EUV Masks? by Tom Faure from GLOBALFOUNDRIES, presented at eBeam Initiative “BACUS” Reception [September 11, 2017]
>> Download PDF

Press: eBeam Initiative Surveys Report Greatly Increased Optimism for EUV Lithography and New Photomask Trends at Leading-Edge Process Nodes, September 11, 2017
>> Download PDF (English, Japanese, Traditional Chinese & Simplified Chinese)

Events: Save the Date for the 2017 eBeam Initiative BACUS Reception: Monday, September 11 from 5:15pm – 7:30pm in Monterey, California; Please RSVP by September 1 and we’ll send you the details!
>> RSVP

Videos: Summer 2017 Edition of the Fine Line Video Journal, June 26, 2017
>> View

Events: PMJ 2017 April 5 14:50-16:10 Session 3 Use of GPU in Mask Making (I); 16:30-18:00 Session 4 Use of GPU in Mask Making (II)
>> View

Events: PMJ 2017 Invited Talk April 5: “eBeam Initiative Survey Reports Confidence in EUV and Multi-beam Technology” Presenter: Aki Fujimura (eBeam Initiative)
>> View

Videos: Spring 2017 Edition of the Fine Line Video Journal, March 22, 2017
>> View

Events: CSTIC dinner hosted by the eBeam Initiative – pictured from the 52nd floor of the Ritz-Carlton in Shanghai, March 13, 2017
>> View

Presentation: The Resurgence of ILT by Tom Cecil, Synopsys, presented at eBeam Initiative SPIE 2017 Lunch, February 28, 2017
>> Download PDF

Presentation: Mask Modeling in the Multi-beam Era by Ryan Pearman, D2S, presented at eBeam Initiative SPIE 2017 Lunch, February 28, 2017
>> Download PDF

Presentation: eBeam at Light Speed for the EPE Metrology Era by Ofer Adan, Applied Materials, presented at eBeam Initiative SPIE 2017 Lunch, February 28, 2017
>> Download PDF

Press: SMIC Joins the eBeam Initiative as EUV Lithography and Multi-Beam Mask Writing Become Key Themes for 2017, February 28, 2017
>> Download PDF (English, Japanese, Traditional Chinese & Simplified Chinese)

Events: Please RSVP � eBeam Initiative Annual Lunch and Members Meeting at SPIE 2017, San Jose Hilton, Tuesday, February 28, 2017
>> RSVP

Presentation: The Mask Industry is Ready for Curvilinear ILT by Leo Pang at the 2016 Lithography Workshop, November 10, 2016
>> Download PDF

Videos: Autumn 2016 Edition of the Fine Line Video Journal, October 12, 2016
>> Download PDF

Awards: Congratulations to Naoya Hayashi of DNP for receiving the Photomask Lifetime Achievement Award at BACUS 2016; read his �Meet the eBeamer� story below
>> Download PDF

Press: eBeam Initiative Survey Reports Confidence in EUV Lithography and Multi-beam Technology for High-Volume Semiconductor and Photomask Production, September 12, 2016
>> Download PDF (English, Japanese, Traditional Chinese & Simplified Chinese)

Survey: 2016 eBeam Initiative Mask Maker Survey Results, September 12, 2016
>> Download PDF

Survey: 2016 eBeam Initiative Perceptions Survey Results, September 12, 2016
>> Download PDF

Presentation: Embedding IoT Chip Security using eBeam Solutions by Dr. David Lam, Multibeam Corporation, September 12, 2016
>> Download PDF

White Paper: GPU-Accelerated Computing: Maximizing Performance for the 24/7 Semiconductor Manufacturing Environment by Aki Fujimura, D2S, September 12, 2016
>> Download PDF

Events: Save the Date for the annual eBeam Initiative Reception at BACUS including survey results, Monday, September 12 with registration starting at 5:30pm at the Hilton San Jose Convention Center
>> RSVP

Presentation: Introduction and Recent Results of Multi-beam Mask Writer MBM-1000 by Hiroshi Matsumoto, NuFlare at Taiwan Seminar on June 22, 2016
>> Download PDF

Presentation: Maglen eBeam Wafer Imaging System by Tony Luo, Maglen at Taiwan Seminar on June 22, 2016
>> Download PDF

Presentation: The Latest Progress in Model-based Mask Data Prep by Leo Pang, D2S at Taiwan Seminar on June 22, 2016
>> Download PDF

Videos: Summer 2016 Edition of the Fine Line Video Journal, May 24, 2016
>> Download PDF

Events: Save the Date for the eBeam Initiative Taiwan Seminar 2016, Hotel Royal Hsinchu, Wednesday, June 22, 11:45am registration, buffet lunch, presentations and drinks reception ending at 5pm
>> RSVP

Events: eBeam Initiative at Photomask Japan 2016 � banquet sponsor and Aki Fujimura to present survey results
>> View

Presentation: eBeam Initiative was a sponsor at CSTIC in Shanghai, March 13-14; The Latest Progress in Model-Based Mask Data Preparation by Leo Pang
>> Download PDF

Videos: Spring 2016 Edition of the Fine Line Video Journal, March 15, 2016
>> Download PDF

Presentation: Introduction and Recent Results of Multi-beam Mask Writer MBM-1000 by Hiroshi Matsumoto, NuFlare at SPIE on February 23, 2016
>> Download PDF

Presentation: 5nm Test Chip Design & Manufacturing Challenges by Praveen Raghavan, imec at SPIE on February 23, 2016
>> Download PDF

Presentation: The Challenges in Making NIL Master Templates by Naoya Hayashi, DNP at SPIE on February 23, 2016
>> Download PDF

Press: eBeam Initiative to Expand Education Efforts on eBeam Technology Requirements for EUV and Nanoimprint Lithography, February 23, 2016
>> Download PDF (English, Japanese & Chinese)

Events: eBeam Initiative community to present at 2016 China Semiconductor Technology International Conference (CSTIC), Shanghai, March 13-14, 2016
>> View

Events: Registration is closed as the event is at capacity - eBeam Initiative Luncheon at SPIE 2016, San Jose Hilton, Tuesday, February 23

Videos: Autumn 2015 Edition of the Fine Line Video Journal, October 15, 2015
>> Download PDF

Presentation: Recent Progress of Electron Multi-Beam Mask Writer by Elmar Platzgummer, IMS at BACUS on September 29, 2015
>> Download PDF

Presentation: Mask Metrology in the ILT World by Leo Pang, D2S at BACUS on September 29, 2015
>> Download PDF

Survey: 2015 eBeam Initiative Perceptions Survey and Mask Makers Survey, September 29, 2015
>> Download PDF

Press: eBeam Initiative Survey Indicates Rising Optimism in EUV Lithography and Multi-beam Technology for Photomask Production � ZEISS announced as new Initiative member, September 29, 2015
>> Download PDF

Events: Save the Date - eBeam Initiative Reception at BACUS 2015, Monterey Marriott, Tuesday, September 29, starting from 5:30pm
>> RSVP

Videos: Summer 2015 Edition of the Fine Line Video Journal, June 3, 2015
>> Download PDF

Presentation: Conquering Heat Issues in Electron Beam Lithography � Past, Present and the Future by Noriaki Nakayamada of NuFlare Technology at PMJ Workshop on April 20, 2015
>> View

Presentation: Electron Beam-based Photomask Repair by Markus Waiblinger of Carl Zeiss, at PMJ Workshop on April 20, 2015
>> View

Presentation: Simulation-based Mask Inspection and Review for the 10nm Node and Beyond by Leo Pang of D2S, at PMJ Workshop on April 20, 2015
>> View

Event: Photo of attendees at the eBeam Initiative Luminary Dinner during the China Semiconductor Technology International Conference (CSTIC), March 16, 2015
>> View

Events: Save the Date for the eBeam Initiative Workshop at Photomask Japan 2015, Pacifico Yokohama, Monday April 20, 3pm registration and beverages followed by workshop including dinner buffet ending at 7pm
>> RSVP

Video: Tech Talk: Moore�s Law � Device scaling isn�t slowing down, but it is changing; Ed Sperling interviews Aki Fujimura, March 16, 2015
>> View

Videos: New issue of the Fine Line Video Journal, March 16, 2015
>> Download PDF

Presentation: Reactivating the Density Benefits of Moore�s Law - the eBeam Community Gears Up by Aki Fujimura, D2S, February 24, 2015
>> Download PDF

Presentation: Wafer Plane Analysis of Mask SEM in 2D & 3D by Takayuki Nakamura, Advantest, February 24, 2015
>> Download PDF

Presentation: Mask Maker�s Perspective on 10-nm Node by Chris Progler, Photronics, February 24, 2015
>> Download PDF

Press: eBeam Initiative Announces Education and Collaboration Focus on Reactivating Density Benefits of Moore�s Law � Holon and Photronics become latest companies to join eBeam Initiative [February 17, 2015]
>> Download PDF (English & Japanese)

Events: eBeam Initiative members to present at China Semiconductor Technology International Conference (CSTIC) 2015 in Shanghai, China March 15-16, 2015
>> More

Events: Please RSVP - eBeam Initiative Luncheon at SPIE 2015, San Jose Hilton, Tuesday, February 24, starting at 12:30pm
>> RSVP

Paper: Fabrication of patterns with linewidths down to 1.5 nm by Dr. Sergey Babin � aBeam Technologies, Dr. Valeriy Yashchuk - LBNL, Dr. Ray Conley � Argonne National Laboratory [February 3, 2015]
>> Download PDF

Profile: Meet the eBeamers � Tom Faure, February 2015
>> Download PDF

Video: Semiconductor Engineering Tech Talk � Inverse Lithography by Leo Pang - D2S, December 11, 2014
>> More

Profile: Meet the eBeamers � Linyong (Leo) Pang, November 2014
>> Download PDF

Video: New issue of the Fine Line Video Journal, October 13, 2014
>> Download PDF

Survey: 2014 eBeam Initiative survey results, September 16, 2014
>> More

Presentation: Integrated Mask/Litho Signoff Verification using a Virtual VSB Writer by Bob Pack, GLOBALFOUNDRIES, September 16, 2014
>> Download PDF

Presentation: Impact of Context-Dependent Mask-Effects on Mask Hotspots by Aki Fujimura, D2S, September 16, 2014
>> Download PDF

Press: eBeam Initiative Survey Indicates New eBeam Expectations for Photomask Production - Continued semiconductor scaling drives new mask design requirements; use of complex mask shapes predicted to increase, September 16, 2014
>> Download PDF (English & Japanese)

Profile: Meet the eBeamers - Brian Grenon, August 2014
>> Download PDF

Video: New issue of the Fine Line Video Journal - Summer 2014 edition, June 13, 2014
>> Download PDF

Profile: Meet the eBeamers - Naoya Hayashi, DNP, April 8, 2014
>> Download PDF

Video: New issue of the Fine Line Video Journal - Spring 2014 edition, March 18, 2014
>> Download PDF

Presentation: 2014 SPIE eBeam Initiative lunch presentations by D2S, DNP and Mentor Graphics, February 25, 2014
>> Download PDF

White Paper: Mask Hotspots Are Escaping the Mask Shop; Model-Based Mask Verification Can Stop Them, February 25, 2014
>> More

Press: eBeam Initiative Announces Key Educational Themes for Photomask and Lithography Community for 2014 - Sage Design Automation becomes latest company to join eBeam Initiative, February 25, 2014
>> Download PDF (English & Japanese)

Profile: Meet the eBeamers - Dr. Laurent Pain, CEA-Leti, February 3, 2014
>> More

Events: Please RSVP - eBeam Initiative luncheon at SPIE 2014, Almaden ballroom, San Jose Hilton, Tuesday, February 25, 12:15-1:10pm
>> RSVP

Video: New issue of the Fine Line Video Journal - Autumn 2013 edition, October 15, 2013
>> Download PDF

Presentation: BACUS 2013 From Lines and Spaces to Patterns and Shapes by Dr. John Chen, NVIDIA, September 10, 2013
>> More

Presentation: BACUS 2013 GPU-Based MRC Methods for Overlapping eBeam Shots by Dr. Kokoro Kato, Hitachi High-Tech Science Corp, September 10, 2013
>> More

Survey: 2013 eBeam Initiative members survey results, September 10, 2013
>> More

Press: Multibeam Technology Predicted for Use in Advanced Photomask Production by 2016 According to eBeam Initiative Survey - Hitachi High-Technologies Joins eBeam Initiative, September 9, 2013
>> Download PDF (English & Japanese)

Events: Please RSVP - eBeam Initiative reception at BACUS 2013, Monterey Marriott, Tuesday, September 10, 5:45-7:30pm including refreshments
>> RSVP

Video: New issue of the Fine Line Video Journal - Spring 2013 edition, May 20, 2013
>> Download PDF

Presentation: Photomask Japan 2013 panel presentation on challenges for future eBeam mask writers by Dr. Hiroshi Matsumoto, NuFlare.
>> More

Survey: Results presented during Photomask Japan 2013 panel - Future Mask Patterning Technologies in the Next Decade
>> More

Presentation: Photomask Japan 2013 panel presentation on multi-beam by Dr. Hans Loeschner, IMS Nanofabrication
>> More

Presentation: Photomask Japan 2013 panel presentation on eBeam simulation by Aki Fujimura, D2S
>> More

Events: eBeam Initiative members featured on Photomask Japan 2013 panel on Thursday, April 18
>> More

Presentation: SPIE 2013 eBeam Initiative lunch presentations by D2S, Xilinx and Toshiba, February 26, 2013
>> Download PDF

Video: Introducing the Fine Line Video Journal – Winter 2013 edition, February 19, 2013
>> Download PDF

Press: Semiconductor Industry Veterans from NVIDIA and Xilinx Join eBeam Initiative as Advisory Members, February 19, 2013
>> Download PDF (English & Japanese)

Survey: eBeam Initiative 2012 survey results, Jan Willis, eBeam Initiative, September 11, 2012
>> Download PDF

Events: Join us at the 5th annual eBeam Initiative Luncheon at SPIE 2013, Tuesday, February 26, Market Room in the San Jose Hilton, 12:15pm-1:10pm
>> RSVP

Survey: eBeam Initiative 2012 survey results, Jan Willis, eBeam Initiative, September 11, 2012
>> Download PDF

Presentation: Printing results from a multi-beam mask exposure tool Elmar Platzgummer, IMS Nanofabrication, September 11, 2012
>> Download PDF

Presentation: Improving mask CDU using MB-MDP at 14nm and beyond, Byung-Gook Kim, Samsung, September 11, 2012
>> Download PDF

Presentation: Full-Chip MB-MDP is here, Aki Fujimura, D2S, September 11, 2012
>> Download PDF

Press: eBeam Initiative Members Present Collaborative Results at SPIE BACUS Photomask Technology Symposium, September 4, 2012
>> Download PDF (English & Japanese)

Events: Save the date – eBeam Initiative meeting during BACUS 2012, Monterey Marriott, Tuesday, September 11, 4-5:45pm including cocktails
>> RSVP

Events: Listing of eBeam Initiative member papers, posters and panels at BACUS 2012, September 11-13, 2012
>> Download PDF

Education: PMJ 2012 Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (eMET POC) by Elmar Platzgummer, Christof Klein, and Hans Loeschner, IMS Nanofabrication; April 2012
>> Download PDF

Presentation: Computational Lithography Requirements & Challenges for Mask Making by Naoya Hayashi, DNP Printing Co., Ltd., presented at the LithoVision technical symposium on February 12, 2012
>> Download PDF

Education: PMJ 2012 Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (eMET POC) by Elmar Platzgummer, Christof Klein, and Hans Loeschner, IMS Nanofabrication; April 2012
>> Download PDF

Presentation: Computational Lithography Requirements & Challenges for Mask Making by Naoya Hayashi, DNP Printing Co., Ltd., presented at the LithoVision technical symposium on February 12, 2012
>> Download PDF

Education: 50 keV electron multibeam mask writer for the 11nm HP node: first results of the proof of concept tool (eMET POC), Christof Klein, Hans Loeschner, Elmar Platzgummer, IMS Nanofabrication, Proc. SPIE 8323, 83230G (2012)
>> More

Presentations: HOYA, GLOBALFOUNDRIES and D2S presentations at eBeam Initiative Luncheon during PMJ2012, April 19, 2012
>> Download PDF

Press: HOYA Demonstrates eBeam Initiative Roadmap Milestone on Simultaneously Improving Quality and Reducing Write Times of Advanced Semiconductor Photomasks, April 16, 2012
>> More

Events: PMJ 2012 eBeam Initiative Luncheon for members and guests, Thursday, April 19
>> RSVP

Presentations: eBeam Initiative SPIE 2012 presentations by D2S, Tela Innovations in collaboration with CEA-Leti, February 14, 2012
>> Download PDF

Press: eBeam Initiative Roadmap to Focus on Semiconductor Photomask Critical Dimension Uniformity at SPIE Advanced Lithography 2012 Symposium - Proof Points at SPIE demonstrate the ability of eBeam Technologies to Improve 20-nm and 14-nm Wafer Yields, February 13, 2012
>> More

Education: MB-MDP Enables Circular Shots to Improve Mask Accuracy as Well as Shot Count by Ryan Pearman and Robert Pack - D2S, Inc., February 13, 2012
>> Download PDF

Events: eBeam Initiative Member Papers and Posters at SPIE 2012
>> Download PDF

Events: SPIE 2012 eBeam Initiative Luncheon for members and guests, Tuesday, February 14
>> RSVP

Education: BACUS 2011 Paper - Reducing shot count through optimization-based fracture, Timothy Lin, Emile Sahouria, Nataraj Akkiraju, and Steffen Schulze. Proc. SPIE 8166, 81660T (2011); October 13, 2011
>> Download PDF

Education: BACUS 2011 Poster Session - Assessment and comparison of different approaches for mask write time reduction, A. Elayat, T. Lin, E. Sahouria, and S. F. Schulze, Proc. SPIE 8166, 816634 (2011); October 13, 2011
>> Download PDF

Education: BACUS 2011 Best Poster - Optimization of mask shot count using MB-MDP and lithography simulation, September 20, 2011
>> Download PDF

Education: BACUS 2011 Efficient large volume data preparation for electron beam lithography for sub-45nm node
>> Download PDF

Presentations: eBeam Initiative BACUS event presentations by AMTC, D2S and GLOBALFOUNDRIES, including Best Poster presentation by Dr. Gek Soon Chua, GLOBALFOUNDRIES, September 20, 2011
>> Download PDF

Press: eBeam Initiative Members Present Collaborative Results at SPIE/BACUS Photomask Symposium 2011 � Five Additional Companies Join eBeam Initiative, September 12, 2011
>> Download PDF

Events: Save The Date: Tuesday, September 20th, 2011; 4:45-6:30pm, Monterey Marriott, Monterey, California, USA; The eBeam Initiative will hold its annual BACUS educational event and cocktail reception, open to members and guests.
>> RSVP to requests@ebeaminitiative.org

Events: Advanced Lithography TechXPOT featuring talks on EUV, Extended 193nm and E-beam at Semicon West, Moscone Center, San Francisco, CA, Wednesday, July 13, 2011, 10:30am-12:30pm.
>> More

Events: D2S CEO Aki Fujimura will present "eBeam Direct Write: an idea whose time has come" at the 2011 NGL Workshop, Tokyo Institute of Technology, Tuesday, 9:30am - 9:55am, July 12

Education: IBM presentation at eBeam Initiative SPIE lunch, March 1, 2011
>> Download PDF

Education: SPIE 2011 Model-Based Mask Data Prep (MB-MDP) and its effect on heating, March 2, 2011
>> Download PDF

Education: SPIE 2011 E-beam Direct Write Overview, March 1, 2011
>> Download PDF

Education: SPIE 2011 IMAGINE: An Open Consortium to Boost Maskless Lithography Take Off - First Assessment Results on Mapper Technology
>> Download PDF

Press: Fellow eBeam Initiative Members D2S and NuFlare Partner to Reduce Write Times for Complex 22-nm Phototmasks - D2S Option to NuFlare EBM-7000 System Enables Design for E-Beam (DFEB) Mask Technology, March 1, 2011
>> More

Education: NuFlare presentation at eBeam Initiative SPIE Lunch, March 1, 2011
>> Download PDF

Education: D2S presentation at eBeam Initiative SPIE Lunch, March 1, 2011
>> Download PDF

Education: Model-Based Mask Data Preparation Using Overlapping Shots: Making Optical Lithography Cost-effective for 20-nm Devices, March 1, 2011
>> Download PDF

Press: eBeam Initiative Members Present Collaborative Results at SPIE Advanced Lithography Symposium 2011 - E-beam Advancements Featured for both Complex Photomasks and Maskless Lithography; New Members Added to eBeam Initiative, February 22, 2011
>> More

Events: Invitation to All Members - eBeam Initiative Luncheon at SPIE 2011; March 1, 2011 at the San Jose Hilton; Presentations by IBM, NuFlare Technology and D2S
>> RSVP to requests@ebeaminitiative.org

Education: BACUS 2010: Improvement of Mask Write Time for Curvilinear Assist Features at 22nm
>> Download PDF

Education: BACUS 2010: Writing 32nm-hp Contacts with Curvilinear Assist Features
>> Download PDF

Education: BACUS 2010: Impact of Model-Based Fracturing on E-beam Proximity Effect Correction Methodology
>> Download PDF

Education: BACUS 2010: Design for e-beam: design insights for direct-write maskless lithography
>> Download PDF

Education: MCA BrightSpots Lithography Forum - view or contribute blog comments, see the video of the BACUS panel and panelist interviews
>> More

Education: eBeam Initiative Meeting Presentation at BACUS, September 14, 2010
>> More

Press: eBeam Initiative Members Jointly Present Collaborative Results at SPIE/BACUS Symposium 2010 - Four New Members Join eBeam Initiative, September 7, 2010
>> More

Events: Save The Date: Tuesday, September 14th, 2010; 4:30-6:30pm, Monterey Marriott, Monterey, California, USA; The eBeam Initiative will hold its semi-annual members meeting during BACUS 2010.
>> RSVP to requests@ebeaminitiative.org

Events: D2S CEO Aki Fujimura to participate in 22 nm manufacturing panel at DAC 2010 - Panel of Experts to Discuss Design and Lithography Challenges for 22 nm Manufacturing; 47th Design Automation Conference (DAC) at the Anaheim Convention Center in Anaheim, California, USA; Tuesday, June 15 from 10:30 a.m. to 11:30 a.m. at booth #694.

Education: Best paper award at PMJ 2010 - Best depth of focus on 22nm logic wafers with less shot count; Aki Fujimura - D2S, Tadashi Komagata and Yasutoshi Nakagawa - JEOL, David Kim, Vikram Tolani and Tom Cecil - Luminescent Technologies; Photomask Japan 2010
>> More

Education: Efficiently writing circular contacts on production reticle; Aki Fujimura - D2S, Christophe Pierrat - IC Images Technologies, Taiichi Kiuchi, Tadashi Komagata and Yasutoshi Nakagawa - JEOL; Photomask Japan 2010
>> More

Education: Writing "wavy" metal 1 shapes on 22 nm Logic Wafers with Less Shot Count Harold R. Zable and Aki Fujimura - D2S, Tadashi Komagata and Yasutoshi Nakagawa - JEOL, John S. Petersen - Petersen Advanced Lithography; Photomask Japan 2010
>> More

Press: eBeam Initiative Members Publish Collaborative Results at Photomask Japan 2010 - Three New Companies Join eBeam Initiative, April 13, 2010
>> More

Events: eBeam Initiative Sponsored Coffee Breaks, April 13; eBeam Initiative member papers, April 15 - Sessions 10 and 11; Photomask Japan
>> More

Press: eBeam Membership Grows to 27 - Initiative Introduces DFEB Mask Roadmap for High Volume Integrated Circuits, February 23, 2010
>> More

Education: SPIE eBeam Initiative presentations - Samsung, D2S, Toppan Photomasks
>> More

Education: Circles: One Key to Successful Lithography at Advanced Nodes by Aki Fujimura, February 23, 2010
>> More

Press: eBeam Initiative Introduces Design for E-Beam Methodology Guidelines and Training Courses, November 9, 2009
>> More

Education: DFEB Methodology Guidelines for Physical Design Engineers now available
>> Download PDF

Education: ICCAD Tutorial now available - Beyond Light: The Growing Importance of E-Beam by Aki Fujimura, Nov. 2, 2009
>> Download PDF

Winner Announced: Aaron Hand of Semiconductor International is the winner of the eBeam Initiative Puzzle contest. The mystery word was DFEB. Thanks to everyone who participated! October 1, 2009

Press: eBeam Initiative Members Collaborate to Enhance Design for E-Beam Throughput, October 1, 2009
>> More

Press: GenISys GMBH Joins the eBeam Initiative Roster to Accelerate the Adoption of Design for E-Beam Technology, Sept. 14, 2009
>> More

Press: Silicon Results Validate Design for e-Beam Methodology at the 65-nm node, May 26, 2009
>> MoreEvents: ICCAD Tutorial on E-beam Direct Write and Design for E-Beam, presented by Aki Fujimura, Chairman and CEO of D2S on Monday November 2, 2009, at the DoubleTree Hotel in San Jose, Calif.

Press: eBeam Initiative Members to Present at Magma User Conference - March 26, 2009
>> More

Press: 20 Electronics Industry Leaders Collaborate to Accelerate Development and Adoption of Design for E-Beam Technology
>> More

Press: Members Quote Sheet
>> More

Education: SPIE 2009 paper now available - Cell projection use in maskless lithography for the 45-nm and 32-nm logic nodes [July 3, 2009]
>> Download PDF

Education: eBeam members presentation at MUSIC now available here - Thursday, April 2, Santa Clara, CA
>> More

Education: e-Shuttle and Fujitsu SPIE 2008 paper on EbDW
>> Download PDF

Education: DFEB Technology Backgrounder
>> Download PDF

Education: Initiative Overview
>> Download PDF

Education: Fujitsu Viewpoint at Initiative Launch
>> Download PDF

Education: eSilicon Perspective on DFEB
>> Download PDF

View our Privacy Policy

Copyright © 2024 D2S, Inc. All Rights Reserved. For exclusive use with the eBeam Initiative.