News & Events

  • Spring 2024 Fine Line Video Journal, March 26, 2024


    >> View

  • eBeam Initiative Marks 15 Year Anniversary – FUJIFILM Corporation Joins the eBeam Initiative, February 27, 2024


    >> English & Japanese

  • eBeam Initiative SPIE-AL Annual Lunch and Members Meeting, Tuesday, February 27, 2024


    >> RSVP

  • eBeam Initiative Survey Reports Semiconductor Industry Luminaries are Confident in High-NA EUV and Curvilinear Photomasks, October 3, 2023


    >> View

  • eBeam Initiative Reception during SPIE Photomask Technology + EUV Conference, Monterey, Calif.; 4:45-7:15pm Oct. 3, 2023


    >> RSVP

  • EUV TECH and IBM Join the eBeam Initiative, February 28, 2023


    >> English, Japanese, Simplified Chinese & Traditional Chinese

  • eBeam Initiative Survey Reports EUV Fueling Photomask Industry Growth, September 27, 2022


    >> English, Japanese, Simplified Chinese & Traditional Chinese


  • eBeam Initiative Annual Reception: Survey results 4:00-7:30pm September 27, 2022 Monterey, Calif., USA


    >> RSVP

  • Scanning Electron Microscopy Takes the Spotlight in Annual Deep Learning Survey by the eBeam Initiative - ESOL, Fractilia and HJL Lithography Join the eBeam Initiative, March 1, 2022


    >> English, Japanese, Simplified Chinese & Traditional Chinese


  • eBeam Initiative Virtual Annual Meeting, March 1, 2022


    >> RSVP

  • Replay of video - eBeam Initiative Virtual Event during the 2021 Photomask Technology Conference, Sept. 28, 2021 Panelists are Tom Cecil (Synopsys), Chris Progler (Photronics) and Mikael Wahlsten (Mycronic), moderated by Aki Fujimura (D2S)
    >> View entire event (1h 22m)
    >> 2021 Luminaries survey results (14m)
    >> Mask revenues panel (12m)
    >> EUV mask panel (21m)
    >> Mask writers panel (12m)
    >> Deep Learning (DL) panel (10m)
    >> Curvilinear masks panel (6m)

  • eBeam Initiative Survey Reports Continued Optimism for Photomask Market Growth, September 28, 2021


    >> English, Japanese, Simplified Chinese, & Traditional Chinese

  • eBeam Initiative Virtual Event during the 2021 Photomask Technology Conference, Sept. 28, 2021


    >> RSVP

  • PMJ 2021 eBeam Initiative Survey presentation by Aki Fujimura, April 21, 2021


    >> View

  • Replay of video - eBeam Initiative Virtual Panel at SPIE-AL, February 23, 2021


    >> View

  • Replay of video - eBeam Initiative Virtual Event during the 2020 Photomask Technology Conference, Sept. 22, 2020


    >> View

  • eBeam Initiative Surveys Report Upbeat Photomask Market Outlook, September 22, 2020


    >> English, Japanese & Simplified Chinese

  • Micron Joins the eBeam Initiative, February 25, 2020


    >> English, Japanese, Simplified Chinese, & Traditional Chinese

  • eBeam Initiative SPIE-AL Annual Lunch and Members Meeting, co-sponsored in 2020 with CDLe, Tuesday, February 25, 2020


    RSVP

  • eBeam Initiative Surveys Report Photomasks Being Written with Multi-beam Mask Writers and Growing Impact of Deep Learning on Semiconductor Fab Operations, September 16, 2019


    >> English, Japanese, Simplified Chinese, & Traditional Chinese

  • eBeam Initiative Annual Reception: Survey results and more September 17, 2019 Monterey, Calif., USA


    RSVP

  • ASML Joins the eBeam Initiative, Feb 26, 2019


    >> English, Japanese, Simplified Chinese, & Traditional Chinese


  • SPIE 2019: eBeam Initiative Annual Lunch and Members Meeting, San Jose Hilton, Tuesday, February 26, 2019


    RSVP

  • eBeam Initiative Surveys Report 27 Percent Growth in Photomasks Delivered and Continued Confidence in EUV Lithography; TEL Joins the eBeam Initiative, September 17, 2018


    >> English, Japanese, Simplified Chinese, & Traditional Chinese

  • eBeam Initiative Annual Reception: Survey results and more September 18, Monterey, Calif., USA


    RSVP

  • LETI Innovation Days: Lithography Workshop July 6, Grenoble France


    View

  • EMLC 2018: presentations by various eBeam Initiative members June 18-20, Grenoble, France


    View

  • The Litho Workshop: presentations by eBeam Initiative members June 17-21, Sun Valley, Idaho, USA


    View

  • Photomask Japan 2018: eBeam Initiative web sponsor and Aki Fujimura to present survey results April 19


    View

  • CSTIC 2018: presentations by various eBeam Initiative members March 11


    View

  • SPIE 2018: eBeam Initiative Annual Lunch and Members Meeting, San Jose Hilton, Tuesday, February 27, 2018


    RSVP

  • eBeam Initiative Surveys Report Greatly Increased Optimism for EUV Lithography and New Photomask Trends at Leading-Edge Process Nodes, September 11, 2017


    >> Download PDF (English, Japanese, Traditional Chinese & Simplified Chinese)

  • SMIC Joins the eBeam Initiative as EUV Lithography and Multi-beam Mask Writing Become Key Themes for 2017, February 28, 2017


    >> Download PDF (English, Japanese, Traditional Chinese & Simplified Chinese)

  • eBeam Initiative Survey Reports Confidence in EUV Lithography and Multi-beam Technology for High-Volume Semiconductor and Photomask Production [September 12, 2016]
    >> Download PDF (English, Japanese, Traditional Chinese & Simplified Chinese)

  • BACUS 2016: eBeam Initiative Reception, San Jose Hilton, Monday, September 12, 2016

  • Taiwan 2016: eBeam Initiative Taiwan Seminar, Hotel Royal Hsinchu, Wednesday, June 22, 11:45am registration, buffet lunch, presentations and drinks reception ending at 5pm

  • Photomask Japan 2016: eBeam Initiative at Photomask Japan 2016 – banquet sponsor and Aki Fujimura to present survey results

  • eBeam Initiative community to present at 2016 China Semiconductor Technology International Conference (CSTIC), Shanghai, [March 13-14, 2016]
    >> View

  • eBeam Initiative to Expand Education Efforts on eBeam Technology Requirements for EUV and Nanoimprint Lithography, [February 23, 2016]
    >> Download PDF (English, Japanese & Chinese)

  • Events: Registration is closed as the event is at capacity - eBeam Initiative Luncheon at SPIE 2016, San Jose Hilton [February 23, 2016]

  • eBeam Initiative Survey Indicates Rising Optimism in EUV Lithography and Multi-beam Technology for Photomask Production – ZEISS announced as new Initiative member [September 29, 2015]
    >> Download PDF

  • eBeam Initiative Announces Education and Collaboration Focus on Reactivating Density Benefits of Moore’s Law – Holon and Photronics become latest companies to join eBeam Initiative [February 17, 2015]
    >> Download PDF (English & Japanese)

  • Save the Date for the eBeam Initiative Workshop at Photomask Japan 2015, Pacifico Yokohama, Monday April 20, 3pm registration and beverages followed by workshop including dinner buffet ending at 7pm
    RSVP

  • eBeam Initiative members to present at China Semiconductor Technology International Conference (CSTIC) 2015 in Shanghai, China March 15-16, 2015
    >> More

  • Please RSVP - eBeam Initiative Luncheon at SPIE 2015, San Jose Hilton, Tuesday, February 24, starting at 12:30pm
    RSVP

  • eBeam Initiative Survey Indicates New eBeam Expectations for Photomask Production – Continued semiconductor scaling drives new mask design requirements; use of complex mask shapes predicted to increase, September 16, 2014
    >> Download PDF (English & Japanese)

  • Please RSVP - eBeam Initiative reception at BACUS 2014, Monterey Marriott, Tuesday, September 16, starting at 5:30pm including refreshments
    RSVP

  • eBeam Initiative Announces Key Educational Themes for Photomask and Lithography Community for 2014 - Sage Design Automation becomes latest company to join eBeam Initiative, February 25, 2014
    >> Download PDF (English & Japanese)

  • Multibeam Technology Predicted for Use in Advanced Photomask Production by 2016 According to eBeam Initiative Survey – Hitachi High-Technologies Joins eBeam Initiative, September 9, 2013
    >> Download PDF (English & Japanese)

  • Please RSVP — eBeam Initiative reception at BACUS 2013, Monterey Marriott, Tuesday, September 10, 5:45-7:30pm including refreshments
    RSVP

  • Semiconductor Industry Veterans from NVIDIA and Xilinx Join eBeam Initiative as Advisory Members, February 19, 2013
    Download PDF (English & Japanese)

  • eBeam Initiative Members Present Collaborative Results at SPIE BACUS Photomask Technology Symposium 2012, September 4, 2012
    Download PDF (English & Japanese)

  • Save the date – eBeam Initiative meeting during BACUS 2012, Monterey Marriott, Tuesday, September 11, 4-5:45pm including cocktails
    RSVP

  • Listing of eBeam Initiative member papers, posters and panels at BACUS 2012, September 11-13, 2012
    Download PDF

  • HOYA Demonstrates eBeam Initiative Roadmap Milestone on Simultaneously Improving Quality and Reducing Write Times of Advanced Semiconductor Photomasks, April 16, 2012
    Download PDF (English & Japanese)

  • eBeam Initiative Roadmap to Focus on Semiconductor Photomask Critical Dimension Uniformity at SPIE Advanced Lithography 2012 Symposium – Proof Points at SPIE demonstrate the ability of eBeam Technologies to Improve 20-nm and 14-nm Wafer Yields, February 13, 2012
    Download PDF (English & Japanese)

  • eBeam Initiative Members Present Collaborative Results at SPIE/BACUS Photomask Symposium 2011 - Five Additional Companies Join eBeam Initiative, September 12, 2011
    Download PDF (English & Japanese)

  • Fellow eBeam Initiative Members D2S and NuFlare Partner to Reduce Write Times for Complex 22-nm Photomask - D2S Option to NuFlare EBM-700 System Enalbes Design for E-Beam (DFEB) Mask Technology , March 1, 2011
    Download PDF (English & Japanese)

  • eBeam Initiative Members Present Collaborative Results at SPIE Advanced Lithography Symposium 2011 - E-beam Advancements Featured for both Complex Photomasks and Maskless Lithography; New Members Added to eBeam Initiative, February 22, 2011
    Download PDF (English & Japanese)

  • eBeam Initiative Members Jointly Present Collaborative Results at SPIE/BACUS Symposium 2010 - Four New Members Join eBeam Initiative, September 7, 2010
    Download PDF (English & Japanese)

  • eBeam Initiative Members Publish Collaborative Results at Photomask Japan 2010 - Three New Companies Join eBeam Initiative, April 13, 2010
    Download PDF (English & Japanese)

  • eBeam Membership Grows to 27 - Initiative Introduces DFEB Mask Roadmap for High Volume Integrated Circuits, February 23, 2010
    Download PDF (English & Japanese)

  • eBeam Initiative Members Collaborate to Enhance Design for E-Beam Throughput, October 1, 2009
    Download PDF (English & Japanese)

  • GenISys GMBH Joins the eBeam Initiative Roster to Accelerate the Adoption of Design for E-Beam Technology, Sept. 14, 2009
    Download PDF

  • Silicon Results Validate Design for e-Beam Methodology at the 65-nm node, May 26, 2009
    Download PDF (English & Japanese)

  • eBeam Initiative Members to Present at Magma User Conference - March 26, 2009
    Download PDF

  • 20 Electronics Industry Leaders Collaborate to Accelerate Development and Adoption of Design for E-Beam Technology
    Download PDF (English, Chinese SC, Chinese TC & Japanese)

  • Members Quote Sheet
    Download PDF (English & Japanese)



FAQ

  • Download the eBeam FAQ (PDF)

eBeam Industry News

Silicon Semiconductor: Phil Alsop, editor, interviews Jan Willis, Co-founder of the eBeam Initiative  [March 22, 2024]
>> View

Semiconductor Digest: eBeam Initiative Marks 15-Year Anniversary [February 28, 2024]
>> View

SEMI: D2S CEO Aki Fujimura: Curvy Design’s Time Has Come [February 5, 2024]
>> View

SPIE.online: Upcoming webinar on EUVL masks by Patrick Nalleau, EUV Tech, and a tribute to Paul Ackmann [January 23, 2024]
>> View

Semiconductor Engineering: Top Tech Videos of 2023 [December 29, 2023]
>> View

Semiconductor Engineering: Industry Luminaries Highlight Opportunities For Advancing The Non-EUV Leading Edge [December 19, 2023]
>> View

Semiconductor Engineering: Center For Deep Learning In Electronics Manufacturing: Bringing Deep Learning To Production For Photomask Manufacturing [November 16, 2023]
>> View


>>View News Archive

View our Privacy Policy

Copyright © 2024 D2S, Inc. All Rights Reserved. For exclusive use with the eBeam Initiative.